CIO Insider

CIOInsider India Magazine

Separator

Intel Envisions a Powerful Comeback Beyond 2025

Separator

Intel unveiled its new IDM 2.0 strategy in hopes of a significant expansion of the chipmaker’s manufacturing capacity and overall drastically transform the very manner it makes chips. However, the strategy calls for the need of packaging, as it's a crucial part towards achieving Moore's Law benefits.

Therefore, the globally renowned chipmaker has introduced intrinsic process and packaging technology roadmaps like never before. Along the line these entail a slew of foundational innovations believed to enforce power to its products beyond 2025.

The developments are key towards enhancing the power usage of its chips mainly circling their battery life, while increasing the performance.

But what’s even more groundbreaking is that the chipmaker is said to feature two revolutionary technologies, RibbonFET, a first transistor architect that’s introduced after more than a decade and an industry first backside power delivery method, PowerVia. There’s more to it, for the chipmaker giant has laid forth intrinsic plans to outperform its rivals past the year 2025. But first, let’s take a dip into the RibbonFET and PowerVia.

The Difference RibbonFET and PowerVia Promise
RibbonFET, the brand new transistor architect, is said to replace its predecessor, FinFET and PowerVia will act as its backside power delivery system. The former explains the chipmaker’s Gate all around or GAA, which is designed to support next-generation chips.

Most commonly in transistors, gates regulate how the electrical current sail through the component and the many minions of transistors can process information thanks to these tiny current flows, which are coordinated by the software instructions delivered to the device. As processors get smaller, GAA technology ensures that gates can still govern these transistors.

But to ace that up, the chipmaker is combining RibbonFET with PowerVia, to form a fresh start at distributing electrical power to transistors. This combination matters, as the chips made today, carry separate tiny copper wires attached atop the chip delivering power, input data and retrieving output data. But these power distribution wires of the PowerVia will be connected to the transistor’s backside. By doing so, it cracks open extra resources for signal routing optimization.

As a result, the chipmaker implies that there will be a net outcome coupled with a performance gain at the IP block level and better yet, there will be less power leakage.

RibbonFET and PowerVia can both be seated on the same piece of silicon by bringing power from the backside. Intel 20A is the result of combining these two.

The chipmaker defines RibbonFET as producing greater power whether or not the performance is high or low. But analysts are not quite convinced with the power aspect, keeping in mind the chipmaker’s recent mistakes.

However, other competitors namely TSMC and Samsung, have also introduced GAA technology, but still remains to be brought to reality.

With the new transistor architect and backside power system, the chipmaker has attracted one of its rivals. But it also plans on helping its rival?

Along the way, the chipmaker also has plans for its Foveros technology, by expanding it and will be advanced with interconnects.

Intel Embraces Qualcomm?
Rather than fending off rivals like most competitors do in most cases, the chipmaker however, has other plans in mind. By way of regaining its leadership, it plans on embracing Qualcomm to help itself to reclaim its silicon throne. When that’s fulfilled, the chipmaker plans to clear the way for its factories to start producing chips for other companies.

Also, the chipmaker revealed that it has already signed the papers to manufacture chips for Qualcomm and Amazon at their manufacturing plants.

However, this involves a small twist, that is, the chipmaker will of course produce chips for its competitors. But these chips will be based on ARM designs instead of the x86 architecture used in its processors, namely the 11th Gen Core CPUs.

Nonetheless, this makes Qualcomm and Amazon its first customers to its new Foundry Services business. The former behind the creation of Snapdragon, will use the chipmaker’s 20A process technology, especially with its newly erected RibbonFET to build its chipsets.

As for Amazon, the chipmaker doesn’t necessarily plan on building chipsets for it, but the former’s web services division (AWS) will bank on the chipmaker’s latest IFS packaging technologies.

On another note, the chipmaker has announced the renaming of its nodes that are relatable to the rest of the industry. It’s switching to what it calls 'standard labelling' that will empower it to compete with its rivals. Since the chipmaker had all along been christening its nodes based on the size of its transistors. Yet again, these names have something to do with its rival TSMC.

Node Names Mirroring Taiwan's TSMC
Forget about hearing chips being called 10nm SuperFin, as the chipmaker has renamed them as Intel 7, Intel 4, Intel 3, and eventually Intel 20A chips. This is highly due to how relatable 10nm chips are to that of TSMC and Samsung’s 7nm chips. Since they employ similar manufacturing technologies as well as matching in the transistor density.

Intel's 7 process will be used in the company's future Alder Lake processors, which are expected to be released later this year. With this, the chipmaker promises a 10 to 15 percent improved performance per watt better than its predecessor.

Coming to its Intel 4, it completely adopts EUV lithography, which uses ultra-short wavelength light to print very small features. Intel 4 will be available for manufacturing in the second half of 2022, with a 20 percent boost in performance per watt and area improvements, for devices launching in 2023.

Intel 3 uses additional FinFET optimizations and enhanced EUV to give an 18 percent boost in performance per watt over Intel 4, as well as significant area improvements. In the second half of 2023, Intel 3 will be ready to start producing products.

Along the way, the chipmaker also has plans for its Foveros technology, by expanding it and will be advanced with interconnects.

Plans Beyond 2025
Beyond Intel 20A, Intel 18A is already in the works for early 2025, with RibbonFET enhancements that will bring still another significant increase in transistor performance. Intel is also working on defining, building, and deploying next-generation High NA EUV, and expects to get the industry's first production tool. Beyond the current generation of EUV, the chipmaker is working closely with ASML to ensure the success of this industry innovation.

Current Issue
Datasoft Computer Services: Pioneering The Future Of Document Management & Techno-logical Solutions